blob: dc295c17bca0927c2227217d6d1e81e5fc6b1b98 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
|
-- TEST_START{"inputs": [], "outputs": ["output,23,0"], "clk": "clk", "testcount": 100}TEST_STOP
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ex2 is
port (
clk: in std_logic;
output: out std_logic_vector(23 downto 0)
);
end ex2;
architecture impl of ex2 is
signal value: unsigned(23 downto 0) := "000000000000000000000000";
begin
output <= std_logic_vector(value);
process (clk)
begin
if (clk'event and clk = '1') then
value <= value + 1;
end if;
end process;
end impl;
|