diff options
Diffstat (limited to 'sem6/dig/m5')
-rw-r--r-- | sem6/dig/m5/ex2.vhdl | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/sem6/dig/m5/ex2.vhdl b/sem6/dig/m5/ex2.vhdl index bed016a..86f22a9 100644 --- a/sem6/dig/m5/ex2.vhdl +++ b/sem6/dig/m5/ex2.vhdl @@ -17,7 +17,7 @@ architecture impl of ex2 is begin output_int <= std_logic_vector(value); output <= output_int; - leds <= output_int(7 downto 0); + leds <= output_int(23 downto 16); process (clk) begin |