diff options
author | Julian T <julian@jtle.dk> | 2021-06-04 13:00:07 +0200 |
---|---|---|
committer | Julian T <julian@jtle.dk> | 2021-06-04 13:00:07 +0200 |
commit | 802c3d64d2402c5bf060fb5488bd10688d2a6965 (patch) | |
tree | 5556ab35b73819531103f78579da7abffefa016d /sem6/dig/m5/ex2.vhdl | |
parent | 703d1962bd5128e0067f49f3889d76e080ece860 (diff) |
Add more changes to dig and prob
Diffstat (limited to 'sem6/dig/m5/ex2.vhdl')
-rw-r--r-- | sem6/dig/m5/ex2.vhdl | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/sem6/dig/m5/ex2.vhdl b/sem6/dig/m5/ex2.vhdl index bed016a..86f22a9 100644 --- a/sem6/dig/m5/ex2.vhdl +++ b/sem6/dig/m5/ex2.vhdl @@ -17,7 +17,7 @@ architecture impl of ex2 is begin output_int <= std_logic_vector(value); output <= output_int; - leds <= output_int(7 downto 0); + leds <= output_int(23 downto 16); process (clk) begin |