diff options
Diffstat (limited to 'sem6/dig/m5/ex3.vhdl')
-rw-r--r-- | sem6/dig/m5/ex3.vhdl | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/sem6/dig/m5/ex3.vhdl b/sem6/dig/m5/ex3.vhdl index 57465c4..d3d8853 100644 --- a/sem6/dig/m5/ex3.vhdl +++ b/sem6/dig/m5/ex3.vhdl @@ -1,4 +1,4 @@ --- TEST_START{"inputs": [], "outputs": ["output,6,0"], "clk": "clk", "testcount": 100}TEST_STOP +-- TEST_START{"inputs": [], "outputs": ["output,6,0"], "clk": "clk", "testcount": 1000}TEST_STOP library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; |